SVEditor

ソフトウェアのスクリーンショット:
SVEditor
ソフトウェアの詳細:
バージョン: 1.6.7
日付のアップロード: 17 Feb 15
開発者: Matthew Ballance
ライセンス: 無料
人気: 22

Rating: 2.0/5 (Total Votes: 2)

SVEditorはオープンソースのグラフィカルなプロジェクトは、Javaで実装され、有名なと強力なEclipse IDEをに基づいて、glanceTheアプリケーションで編集VerilogおよびSystemVerilogのfiles.Featuresするための基本的な統合開発環境を提供することは、ためのctagsのに似ているスキャナを使用していますSystemVerilogのとVerilogソースファイルから情報を抽出する。これは、エラーを許容することと同様に、認識されていない言語構造を無視するように設計されている。
SVEditor&rsquoのいくつかの中でも、開始■特長、我々はコンテンツアシストエディタ、ファイル構造ビュー、SystemVerilogのソースインデックス、構文の色分けエディタ、とリンクSystemVerilogのキーワード、アウトラインビューのためにカラー化言及することができますし、データ構造の使用状況とdeclaration.Getting間の架橋すでに最新のJRE(Java実行環境)およびEclipse IDEソフトウェアをインストールしたVE、SVEditorThereで我々&rsquoのがあるという事実を考慮すると、あなたのGNU / LinuxコンピュータにSVEditorソフトウェアをインストールするには、複数の方法である。
exempleについては、既存のEclipse環境に開くことができるJARアーカイブとしてプロジェクトの最新バージョンをダウンロードすることができ、あなたのGNU / Linuxディストリビューションの主なソフトウエアリポジトリにアプリケーションを検索するか、ソースを使用して、それを自分でコンパイルすることができますSoftoware上で右ここで提供パッケージ。
詳細については、プロジェクト&rsquoのをチェックアウトすることを躊躇しない。article.Underフードの最後にリンクをクリックしてウェブサイトをしてSVEditorアプリケーションのフードの下を見てsystemsTaking操作するサポート我々はそれがされていることに気づくことができますJavaプログラミング言語で書かれ、そのグラフィカル·ユーザ·インタフェースのためのEclipse IDEと統合されています。
Javaで書かれたので、SVEditorは、GNU / Linuxのは、Microsoft WindowsとMac OS Xを含むJavaランタイム環境およびEclipse IDEが使用できるすべてのオペレーティング·ssytems、上で動作するクロスプラットフォームのソフトウェアは、それが正常にどちら支えるコンピュータ上でテストされていますです64ビット(x86_64版)または32ビット(x86)CPUアーキテクチャの

のこのリリースで新しいのあるもの:ます。

    < LI>(315) - タスク/ファンクションオーバーライドアノテーション所見コードの問題を修正してください。いくつかのケースでは、インクルードファイルで宣言されたクラスから仮想メソッドは、アクティブなソース·ファイルにマークされるだろうします。
  • (nobug) - -mfcuオプションの追加コンパイル引数輸入サポートします。
  • (ENH) - コンパイル引数のインポート時に、コンパイララッパーによって傍受されたコマンドを実行するためのサポートを追加。時には、コンパイルの障害が早期に終了するプロセス全体を引き起こします。

のバージョン1.5.1でのの新機能:時、非標識

  • ラベル·プロバイダーでNPEを修正文が生成するブロック以内に開始ブロックから推測され生成します。
  • アウトラインビューは、defparamステートメントを追加します。
  • 含むモジュールに宣言されたパラメータのサポートを支援するコンテンツを追加します。
  • モジュールのインスタンス化します。
  • のために既に存在してサポートしたインタフェースのインスタンス化のサポートを支援するコンテンツの整列
  • 後続の解析エラーの数を減らすために未定義のマクロの取り扱いを強化します。
  • 正しいにより含まれる不完全に指定されたディレクトリにファイルが含まれ行方不明関わるバグ。以前は、編集者はまださえ含まれるディレクトリを修正した後に不足しているとして含まれるファイルを示すであろう。さて、編集者は正しい情報を表示します。
  • 終了せずに、モジュールの宣言を許可する ';'。これは、モジュールが保護されたコンテンツ本体を持っていた場合に発生することが表示されます。

のバージョン1.5.0でのの新機能:タイプを表示するには、

  • 変更内容アシストラベル·プロバイダー
  • :内蔵のネットの提案(例えばワイヤー[0 14])の名前
  • マクロを使用した階層参照のコード補完のサポートを追加します。たとえば、次のように `TOP.sub.signalます。

  • <李は>環境設定を挿入するスペースではなくタブを起こす時にコードテンプレートの自動インデントが関与するバグを修正します。
  • 複数のコードテンプレートがコンテンツの開始方法だけでな​​く、コードテンプレートに関連付けられた名前に基づいて選択することができますコンテキストを、コンテンツアシストのサポートを追加します。
  • を追加しましたデフォルトのコードいくつかの一般的に使用される構造のためのテンプレート:always_ff、always_latch、always_combを、他に、行う場合は、しばらく、用、ケース、casez、casex、機能、タスク、初期の、最後の、主張します。

のバージョン1.4.9にの新機能:

  • #(298) - プリプロセッサを修正マクロ呼び出します。
  • 内部のコメントに関わるバグ
  • #(300)自然ドキュメントの更新 - コメントで正しく処理(スタートコード)...(終了)ブロックします。
  • コードセクションの開始時にホワイトスペースをリードする#(301)が破損結婚します。

  • ポップアップヘルプの
  • #(302)箇条書きます。
  • #(303)Ndocであれパーサ - リンク集計テーブルに表示されない

バージョン1.4.8の新機能のは何ですか。

  • プロパティパーサのバグ修正されます。
  • 自動再解析は、アクティブなエディタ内でどのように行われるかの設定プリファレンスを追加します。 -1に設定すると、ファイルが保存されるとき、再解析にのみ実行されます。そうでない場合は、設定が待機する時間の遅延があるます。
  • スコープの識別子を含む、関連するアレイ初期設定ステートメントで解析のバグを修正します。
  • 引数ファイルがディレクトリ·パスではなく、ファイルパスが含まれているインデクサーのクラッシュを修正。インデクサーは現在のディレクトリパスを無視し、引数ファイルパーサーは、エラーマーカーが追加されます。

  • 引数ファイル内のカラー化
  • 正しいブロック·コメントます。
  • と&QUOT圧子行動、主張する&QUOT。そして&QUOT;と&QUOTランダム化。一貫性のない
  • を正しいXOR /または/および配列-減らす方法を含む解析のバグします。

のどのバージョン0.8.9のの新しい:このバージョンでは、道インデックスにバグ修正と改良が追加されます。

  • 情報がユーザに表示されます。

のバージョン0.1.8でのの新機能:

  • このリリースでは、することで、自動インデントを向上させ、それ適応(ファイルで使用されているインデントをたどるための最善の試みを行う)、いくつかの小さなバグが修正され、コンテンツがクラスパラメータの意識を支援向上させます。

のバージョン0.1.2でのの新機能は次のとおりです。

  • このリリースでは、特殊なパラメータ化のためのコンテンツアシストのサポートが追加されますクラスは、組み込み配列とキューのメソッド、クラス階層ビューのサポートをコンテンツアシスト。さまざまなバグも修正されています。

  • SVEditorの0.1.1リリースがためのサポートを追加します。

    のバージョン0.1.1にの新機能であるソースのインデントと自動インデントのためのより良いサポート。自動インデントは、現在(既存のワークスペースを持つユーザーが手動で自動インデントを有効にする必要があります)デフォルトで有効になっています。

の要件

  • Eclipseの
  • は、Java 2 Standard Editionのランタイム環境ます。

スクリーンショット

sveditor_1_68403.gif
sveditor_2_68403.gif
sveditor_3_68403.gif
sveditor_4_68403.gif
sveditor_5_68403.gif
sveditor_6_68403.gif

同じようなソフトウェア

Piklab
Piklab

20 Feb 15

HDLmaker
HDLmaker

3 Jun 15

GSim85
GSim85

2 Jun 15

Greensocs
Greensocs

11 May 15

へのコメント SVEditor

コメントが見つかりません
コメントを追加
画像をオンにする!